Deep reactive-ion etching

Deep reactive-ion etching

Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers, with aspect ratios of 20:1 or more. It was developed for microelectromechanical systems (MEMS), which require these features, but is also used to excavate trenches for high-density capacitors for DRAM and more recently for creating through wafer via's (TSV)'s in advanced 3D wafer level packaging technology .

There are two main technologies for high-rate DRIE: cryogenic and Bosch, although the Bosch process is the only recognised production technique. Both Bosch and cryo processes can fabricate 90° (truly vertical) walls, but often the walls are slightly tapered, e.g. 88° or 92° ("retrograde").

Another mechanism is sidewall passivation: SiOxFy functional groups (which originate from sulphur hexafluoride and oxygen etch gases) condensate on the sidewalls, and protect them from lateral etching. As a combination of these processes deep vertical structures can be made.

Contents

Cryogenic process

In cryo-DRIE, the wafer is chilled to −110 °C (163 K). The low temperature slows down the chemical reaction that produces isotropic etching. However, ions continue to bombard upward-facing surfaces and etch them away. This process produces trenches with highly vertical sidewalls. The primary issues with cryo-DRIE is that the standard masks on substrates crack under the extreme cold, plus etch by-products have a tendency of depositing on the nearest cold surface, i.e. the substrate or electrode.

Bosch process

A silicon pillar fabricated using the Bosch process
A silicon micro-pillar fabricated using the Bosch process

The Bosch process, named after the german company Robert_Bosch_GmbH which patented the process[1] , also known as pulsed or time-multiplexed etching, alternates repeatedly between two modes to achieve nearly vertical structures.

  1. A standard, nearly isotropic plasma etch. The plasma contains some ions, which attack the wafer from a nearly vertical direction. Sulfur hexafluoride [SF6] is often used for silicon.
  1. Deposition of a chemically inert passivation layer. (For instance, C4F8 (Octafluorocyclobutane) source gas yields a substance similar to Teflon.)
Undulating sidewall as a result of the Bosch process
Undulating sidewall of a silicon structure created using the Bosch process

Each phase lasts for several seconds. The passivation layer protects the entire substrate from further chemical attack and prevents further etching. However, during the etching phase, the directional ions that bombard the substrate attack the passivation layer at the bottom of the trench (but not along the sides). They collide with it and sputter it off, exposing the substrate to the chemical etchant.

These etch/deposit steps are repeated many times over resulting in a large number of very small isotropic etch steps taking place only at the bottom of the etched pits. To etch through a 0.5 mm silicon wafer, for example, 100–1000 etch/deposit steps are needed. The two-phase process causes the sidewalls to undulate with an amplitude of about 100–500 nm. The cycle time can be adjusted: short cycles yield smoother walls, and long cycles yield a higher etch rate.

Applications

RIE "deepness" depends on application:

  • in DRAM memory circuits, capacitor trenches may be 10–20 µm deep,
  • in MEMS, DRIE is used for anything from a few micrometers to 0.5 mm.

What distinguishes DRIE from RIE is etch depth: Practical etch depths for RIE (as used in IC manufacturing)would be limited to around 10 µm at a rate up to 1 µm/min, while DRIE can etch features much greater, up to 600 µm or more with rates up to 20 µm/min or more in some applications.

DRIE of glass requires high plasma power, which makes it difficult to find suitable mask materials for truly deep etching. Polysilicon and nickel are used for 10–50 µm etched depths. In DRIE of polymers, Bosch process with alternating steps of SF6 etching and C4F8 passivation take place. Metal masks can be used however are expensive to use in that several additional photo and deposition steps are always required. Metal masks are not necessary however on various substrates (Si [up to 800 µm], InP [up to 40 µm] or glass [up to 12 µm]) if using chemically amplified negative resists.

Gallium ion implantion can be used as etch mask in cryo-DRIE. Combined nanofabrication process of focused ion beam and cryo-DRIE was first reported by N Chekurov et al in their article "The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching" (Nanotechnology, 2009).

References

  1. ^ Bosch process patent application

See also



Wikimedia Foundation. 2010.

Игры ⚽ Поможем решить контрольную работу

Look at other dictionaries:

  • Deep Reactive Ion Etching — Reaktives Ionentiefenätzen (engl. Deep Reactive Ion Etching, DRIE), eine Weiterentwicklung des reaktiven Ionenätzen (RIE), ist ein hoch anisotroper Trockenätzprozess für die Herstellung von Mikrostrukuren in Silicium mit Aspektverhältnissen (das… …   Deutsch Wikipedia

  • Reactive-ion etching — (RIE) is an etching technology used in microfabrication. It uses chemically reactive plasma to remove material deposited on wafers. The plasma is generated under low pressure (vacuum) by an electromagnetic field. High energy ions from the plasma… …   Wikipedia

  • Etching (microfabrication) — Etching tanks used to perform Piranha, Hydrofluoric acid or RCA clean on 4 inch wafer batches at LAAS technological facility in Toulouse, France Etching is used in microfabrication to chemically remove layers from the surface of a wafer during… …   Wikipedia

  • Gravure ionique réactive profonde — La gravure ionique réactive profonde (en anglais Deep Reactive Ion Etching DRIE) est un procédé de gravure ionique réactive fortement anisotrope utilisé en micro électronique. Il sert à créer des trous et des tranchées profondes dans des wafers… …   Wikipédia en Français

  • Advanced Silicon Etching — Reaktives Ionentiefenätzen (engl. Deep Reactive Ion Etching, DRIE), eine Weiterentwicklung des reaktiven Ionenätzen (RIE), ist ein hoch anisotroper Trockenätzprozess für die Herstellung von Mikrostrukuren in Silicium mit Aspektverhältnissen (das… …   Deutsch Wikipedia

  • Nanoarchitectures for lithium-ion batteries — Efforts in lithium ion batteries research have been to improve two distinct characteristics: capacity and rate. The capacity of the battery to store energy can be improved through the ability to insert/extract more lithium ions from the electrode …   Wikipedia

  • Microelectromechanical systems — (MEMS) (also written as micro electro mechanical, MicroElectroMechanical or microelectronic and microelectromechanical systems) is the technology of very small mechanical devices driven by electricity; it merges at the nano scale into… …   Wikipedia

  • Black Silicon — Schwarzes Silicium (engl. black silicon) ist eine Oberflächenmodifikation des kristallinen Siliciums. Dabei entstehen durch hochenergetischen Beschuss durch Ionen oder ultrakurzer Laserpulse nadelförmige Strukturen auf der Oberfläche, die die… …   Deutsch Wikipedia

  • Schwarzes Silizium — Schwarzes Silicium (engl. black silicon) ist eine Oberflächenmodifikation des kristallinen Siliciums. Dabei entstehen durch hochenergetischen Beschuss durch Ionen oder ultrakurzer Laserpulse nadelförmige Strukturen auf der Oberfläche, die die… …   Deutsch Wikipedia

  • Schwarzes Silicium — (englisch black silicon) ist eine Oberflächenmodifikation des kristallinen Siliciums. Dabei entstehen durch hochenergetischen Beschuss durch Ionen oder ultrakurzer Laserpulse nadelförmige Strukturen auf der Oberfläche, die die Reflexion des… …   Deutsch Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”