PicoBlaze

PicoBlaze

PicoBlaze is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on a RISC architecture of 8 bits and can reach speeds up to 100 MIPS on the Virtex 4 FPGA's family. The processors have an 8-bit address and data port for access to a wide range of peripherals. The license of the cores allows their free use, albeit only on Xilinx devices, and they come with development tools. Third party tools are available from Mediatronix and others. Also PacoBlaze, a behavioral and device independent implementation of the cores exists and is released under the BSD License.

The PicoBlaze design was originally named KCPSM which stands for "Constant(K) Coded Programmable State Machine" (formerly "Ken Chapman's PSM"). Ken Chapman was the Xilinx systems designer who devised and implemented the microcontrollercite web|url=http://www.xilinx.com/bvdocs/appnotes/xapp213.pdf|title=PicoBlaze 8-bit Microcontroller|publisher=Xilinx, Inc.|accessdate=2007-06-25] .

When instantiating a PicoBlaze microcontroller in VHDL, the respective KCPSM component name must be usedcite web|url=http://www.xilinx.com/bvdocs/userguides/ug129.pdf|title=PicoBlaze 8-bit Embedded Microcontroller User Guide|publisher=Xilinx, Inc.|accessdate=2007-06-25] . For example, for a PicoBlaze3 processor:

component kcpsm3 is port ( address : out std_logic_vector(9 downto 0); instruction : in std_logic_vector(17 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; out_port : out std_logic_vector(7 downto 0); read_strobe : out std_logic; in_port : in std_logic_vector(7 downto 0); interrupt : in std_logic; interrupt_ack : out std_logic; reset : in std_logic; clk : in std_logic ); end component;

ee also

* PacoBlaze
* LatticeMico8
* LatticeMico32
* MicroBlaze
* Nios
* Nios II
* OpenFire Soft Processor

External links

* [http://www.xilinx.com/picoblaze PicoBlaze on the Xilinx website]
* [http://www.xilinx.com/bvdocs/ipcenter/data_sheet/picoblaze_productbrief.pdf PicoBlaze product brief]
* [http://www.xilinx.com/bvdocs/userguides/ug129.pdf PicoBlaze user manual]
* [http://www.xilinx.com/xlnx/xweb/xil_tx_display.jsp?sTechX_ID=kc_emb_micro TechXclusives: Creating Embedded Microcontrollers (Programmable State Machines)] [http://bleyer.org/pacoblaze/picoblaze.pdf PDF]
* [http://www.xilinx.com/ipcenter/processor_central/picoblaze/picoblaze_user_resources.htm PicoBlaze user resources]
* [http://www.mediatronix.com/tools/index.htm Mediatronix free FPGA tools]
* [http://www.xs4all.nl/~marksix Free Linux IDE]
* [http://bleyer.org/pacoblaze PacoBlaze: a synthesizable and behavioral Verilog clone of PicoBlaze]

References


Wikimedia Foundation. 2010.

Игры ⚽ Нужна курсовая?

Look at other dictionaries:

  • PicoBlaze — PicoBlaze  общее название серии свободно распространяемых Soft процессорных ядер, созданных фиромой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8 битного RISC процессора; скорость работы на ПЛИС семейства Virtex …   Википедия

  • PicoBlaze — est la désignation d une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d instructions par… …   Wikipédia en Français

  • PicoBlaze — Als PicoBlaze wird eine ausschließlich in FPGAs und CPLDs der Firma Xilinx verwendbare Prozessorfamilie bezeichnet. Die Prozessoren existieren nicht als physische Hardware, sondern sind in den Hardwarebeschreibungssprachen VHDL und Verilog als… …   Deutsch Wikipedia

  • Picoblaze — Der PicoBlaze ist ein ausschließlich in FPGAs der Firma Xilinx verwendbarer Mikrocontroller. Dieses Mikrocontroller existiert nicht als physische Hardware, sondern ist in den Hardwarebeschreibungssprachen VHDL und Verilog als sogenannter Softcore …   Deutsch Wikipedia

  • PacoBlaze — Le PacoBlaze est une implémentation en Verilog synthétisable du processeur softcore PicoBlaze de Xilinx, disponible sous la licence BSD. Le design comprend la configuration des 3 PicoBlaze dans un unique jeu de fichiers configurable. Le cœur a… …   Wikipédia en Français

  • PacoBlaze — is a synthesizable and behavioral Verilog implementation of Xilinx s PicoBlaze soft microcontroller core, and is available through the BSD License. The design includes the three PicoBlaze configurations in a single configurable set of files.The… …   Wikipedia

  • Processeur softcore — Un processeur softcore est un processeur (CPU) implémenté sur un système reprogrammable comme un FPGA. On parle alors de système sur puce programmable (System on Programmable Chip ou SoPC). Sommaire 1 Présentation 2 Liste de processeurs softcore… …   Wikipédia en Français

  • Soft-микропроцессор — Необходимо проверить качество перевода и привести статью в соответствие со стилистическими правилами Википедии. Вы можете помочь улучшить эту стать …   Википедия

  • Nios II — For School level education board in India, see National Institute of Open Schooling. Nios II Designer Altera Bits 32 bit Design RISC Endianness Little Open No …   Wikipedia

  • MicroBlaze — Designer Xilinx Bits 32 bit Version 8.20 Design RISC Encoding Fixed Endianness Big/Little …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”