SEMATECH

SEMATECH
SEMATECH
Type Joint Venture
Industry Semiconductor, Manufacturing
Genre Consortium
Founded 1987
Headquarters Albany, NY
Key people Dan Armbrust, President and CEO
Website www.sematech.org


Contents

Purpose

SEMATECH (from "semiconductor manufacturing technology"), a not-for-profit consortium, performs research and development to advance chip manufacturing. SEMATECH has broad engagement with various sectors of the R&D community, including chipmakers, equipment and material suppliers, universities, research institutes, and government partners. The group is funded by member dues.

History

SEMATECH was conceived in 1986[1], formed in 1987[1], and began operating in 1988[1] as a partnership between the United States government and 14 U.S.-based semiconductor manufacturers to solve common manufacturing problems and regain competitiveness for the U.S. semiconductor industry that had been surpassed by Japanese industry in the mid-1980s. SEMATECH was funded over 5 years by public subsidies coming from the U.S. Department of Defense via the Defense Advanced Research Projects Agency (DARPA) for a total of $500 million.

Following a determination by SEMATECH's Board of Directors to eliminate matching funds from the U.S. government after 1996[1], the organization's focus shifted from the U.S. semiconductor industry to the larger international semiconductor industry, abandoning the initial U.S. government-initiative. Its consortia members represent about half of the worldwide chip market.

SEMATECH currently has one subsidiary the International SEMATECH Manufacturing Initiative (ISMI), established in 2004[1].

ISMI

ISMI, a wholly owned subsidiary of SEMATECH, performs activities focused on increasing manufacturing productivity by providing solutions to manufacturing infrastructure, methods, standards, and productivity improvement challenges.

In 1996 ISMI established the 300mm Initiative (I300I)[1] to qualify equipment and help set standards required for the transition to 300mm wafers. ISMI is playing a similar role and currently building the infrastructure for the transition to 450 mm wafer, the next-generation wafer size.

Technology Focuses

SEMATECH conducts research on the technical challenges and costs associated with developing new materials, processes, and equipment for semiconductor manufacturing. Advanced technology programs focus on immersion and EUV lithography, low-k materials and 3D architecture, and high-k materials and next-generation transistors.

College of Nanoscale Science and Engineering (CNSE)

In January 2003[2] SEMATECH and the University at AlbanyState University of New York – established a major partnership to commercialize advanced semiconductor, nanotechnology and other emerging technologies.

Through its government-university-industry partnership with the State of New York and the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, SEMATECH is conducting programs in several technical areas including lithography, 3D interconnects, and metrology at CNSE’s Albany NanoTech Complex.

In 2010[3], SEMATECH expanded its cooperation with CNSE with the announcement that the ISMI would relocate its headquarters and operations to CNSE’s Albany NanoTech Complex beginning in January 2011.

With over $6.5 billion in high-tech investments, CNSE’s 800,000-square-foot (74,000 m2) Albany NanoTech Complex features the only fully integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet (7,400 m2) of Class 1 capable cleanrooms[4].

Location

SEMATECH has access to laboratories and development fabs in Albany, New York and Austin Texas. Its headquarters is located at CNSE in Albany, NY.

Industry Participation

SEMATECH hosts a variety of worldwide conferences, symposia and workshops (e.g., Litho Forum, Manufacturing Week) and delivers papers, presentations, and joint reports at major industry conferences (SPIE, IEDM, SEMICON West).

References

  1. ^ a b c d e f SEMATECH's History from www.sematech.org
  2. ^ [1] Creation of the International SEMATECH North Center is announced
  3. ^ [2] International SEMATECH Manufacturing Initiative to Relocate Its Headquarters and Operations to the UAlbany NanoCollege
  4. ^ [3] EYP Announces the Establishment of Expanded Headquarters and Operations at the UAlbany NanoCollege

External links


Wikimedia Foundation. 2010.

Игры ⚽ Нужна курсовая?

Look at other dictionaries:

  • Sematech — Тип некоммерческий консорциум Год основания 1987[1] Расположение Олбани, Штат Нью Йорк, США …   Википедия

  • Advanced Technology Development Facility (ATDF) — Infobox Company name = Advanced Technology Development Facility (ATDF) company type = Subsidiary foundation = 1988 location = Austin, Texas USA locations = key people = Dave Anderson (Director) [ [http://www.atdf.com/management/anderson.htm/ ATDF …   Wikipedia

  • College of Nanoscale Science and Engineering — Established 2004 Type College Academic affiliation University at Albany …   Wikipedia

  • Austin, Texas — Austin redirects here. For other uses, see Austin (disambiguation). City of Austin   City   Downtown skyline as seen from Lady Bird Lake …   Wikipedia

  • Design of experiments — In general usage, design of experiments (DOE) or experimental design is the design of any information gathering exercises where variation is present, whether under the full control of the experimenter or not. However, in statistics, these terms… …   Wikipedia

  • Statistics — is a mathematical science pertaining to the collection, analysis, interpretation or explanation, and presentation of data. Also with prediction and forecasting based on data. It is applicable to a wide variety of academic disciplines, from the… …   Wikipedia

  • Moving average — For other uses, see Moving average (disambiguation). In statistics, a moving average, also called rolling average, rolling mean or running average, is a type of finite impulse response filter used to analyze a set of data points by creating a… …   Wikipedia

  • Karen R. Hitchcock — is an American biologist and university administrator, who has held leadership positions in both American and Canadian universities. She served as the President of SUNY s University at Albany in Albany, New York, from 1996 to 2003. She is a… …   Wikipedia

  • Extreme ultraviolet lithography — (also known as EUV or EUVL ) is a next generation lithography technology using the 13.5 nm EUV wavelength. EUVL opticsEUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV… …   Wikipedia

  • Microelectronics and Computer Technology Corporation — Coordinates: 30°23′43.22″N 97°44′6.76″W / 30.3953389°N 97.7352111°W / 30.3953389; 97.7352111 …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”