Electron beam lithography

Electron beam lithography

Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist),cite book |last= McCord |first=M. A. |coauthors=M. J. Rooks |title= [http://www.cnf.cornell.edu/cnf_spietoc.html SPIE Handbook of Microlithography, Micromachining and Microfabrication] |year=2000 |chapter=2 ] ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose is to create very small structures in the resist that can subsequently be transferred into another material for a number of purposes, for example for the creation of very small electronic devices.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in mask-making used in photolithography, low-volume production of semiconductor components, and research & development.

On the other hand, the key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.

Electron Beam Lithography Systems

Electron beam lithography systems used in commercial applications are dedicated e-beam writing systems that are very expensive (>$4M USD). For research applications, it is very common to convert an electron microscope into an electron beam lithography system using a relatively low cost accessory (nm since at least 1990, while current dedicated systems have produced linewidths on the order of 10 nm or smaller.

Electron beam lithography systems can be classified according to both beam shape and beam deflection strategy. Older systems used Gaussian-shaped beams and scanned these beams in a raster fashion. Newer systems use shaped beams, which may be deflected to various positions in the writing field (this is also known as vector scan).

Electron sources

Lower resolution systems can use thermionic sources, which are usually formed from LaB6. However, systems with higher resolution requirements need to use field emission sources, such as heated W/ZrO2 for lower energy spread and enhanced brightness. Thermal field emission sources are preferred over cold emission sources, in spite of their slightly larger beam size, because the former offer better stability over typical writing times of several hours.

Lenses

Both electrostatic and magnetic lenses may be used. However, electrostatic lenses have more aberrations and so are not used for fine focusing. There is no current mechanism to make achromatic electron beam lenses, so extremely narrow dispersions of the electron beam energy are needed for finest focusing.

tage, stitching & alignment

Typically, for very small beam deflections electrostatic deflection 'lenses' are used, larger beam deflections require electromagnetic scanning. Because of the inaccuracy and because of the finite number of steps in the exposure grid the writing field is of the order of 100 micrometre - 1 mm. Larger patterns require stage moves. An accurate stage is critical for stitching (tiling writing fields exactly against each other) and pattern overlay (aligning a pattern to a previously made one).

Electron beam write time

The minimum time to expose a given area for a given dose is given by the following formula:

: Dose * exposed area = beam current * exposure time = total charge of incident electrons

For example, assuming an exposure area of 1 cm2, a dose of 10-3 Coulombs/cm2, and a beam current of 10-9 Amperes, the resulting minimum write time would be 106 seconds (about 12 days). This minimum write time does not include time for the stage to move back and forth, as well as time for the beam to be blanked (blocked from the wafer during deflection), as well as time for other possible beam corrections and adjustments in the middle of writing. To cover the 700 cm2 surface area of a 300 mm silicon wafer, the minimum write time would extend to 7*108 seconds, about 22 years. This is a factor of about 10 million times slower than current optical lithography tools. It is clear that throughput is a serious limitation for electron beam lithography, especially when writing dense patterns over a large area.

E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput. The serial nature of electron beam writing makes for very slow pattern generation compared with a parallel technique like photolithography (the current standard) in which the entire surface is patterned at once (1X optical steppers only, 4X or 5X steppers take proportionally longer). To pattern a single wafer with an electron beam lithography system for sub-100 nm resolution, it would typically take days, compared to the few minutes it would take with a photolithography system.

Even an optical maskless lithography [http://www.micronic.se/site_eng/product/AA65112_Sigma7500-II_product_sheet_A_001.pdf tool] is much faster than an electron beam used for the same purpose.

Defects in electron-beam lithography

Despite the high resolution of electron-beam lithography, the generation of defects during electron-beam lithography is often not considered by users. Defects may be classified into two categories: data-related defects, and physical defects.

Data-related defects may be classified further into two sub-categories. Blanking or deflection errors occur when the electron beam is not deflected properly when it is supposed to, while shaping errors occur in variable-shaped beam systems when the wrong shape is projected onto the sample. These errors can originate either from the electron optical control hardware or the input data that was taped out. As might be expected, larger data files are more susceptible to data-related defects.

Physical defects are more varied, and can include sample charging (either negative or positive), backscattering calculation errors, dose errors, fogging (long-range reflection of backscattered electrons), outgassing, contamination, beam drift and particles. Since the write time for electron beam lithography can easily exceed a day, "randomly occurring" defects are more likely to occur. Here again, larger data files can present more opportunities for defects.

Electron energy deposition in matter

The primary electrons in the incident beam lose energy upon entering a material through inelastic scattering or collisions with other electrons. In such a collision the momentum transfer from the incident electron to an atomic electron can be expressed as cite journal | title=unknown title | author=L. Feldman and J. Mayer | journal=Fundamentals of Surface and Thin Film Analysis | volume=54 | year=1986 | pages=130–133] dp=2e^2/bv, where b is the distance of closest approach between the electrons, and v is the incident electron velocity. The energy transferred by the collision is given by T = (dp)^2/2m = e^4/Eb^2, where m is the electron mass and E is the incident electron energy, given by E=(1/2) mv^2. By integrating over all values of T between the lowest binding energy, Eo, and the incident energy, one obtains the result that the total cross section for collision is inversely proportional to the incident energy E, and proportional to 1/Eo - 1/E. Generally, E >> Eo, so the result is essentially inversely proportional to the binding energy.

By using the same integration approach, but over the range 2Eo to E, one obtains by comparing cross-sections that half of the inelastic collisions of the incident electrons produce electrons with kinetic energy greater than Eo. These secondary electrons are capable of breaking bonds (with binding energy Eo) at some distance away from the original collision. Additionally, they can generate additional, lower energy electrons, resulting in an electron cascade. Hence, it is important to recognize the significant contribution of secondary electrons to the spread of the energy deposition.

Resolution capability

With today's electron optics, electron beam widths can routinely go down to a few nm. This is limited mainly by aberrations and space charge. However, the feature resolution limit is determined not by the beam size but by forward scattering (or effective beam broadening) in the photoresist while the pitch resolution limit is determined by secondary electron travel in the photoresistcite journal | title=unknown title | author=A. N. Broers "et al." | journal=Microelectronic Engineering | volume=32 | year=1996 | pages=131–142 | doi=10.1016/0167-9317(95)00368-1] . This point is driven home by the 2007 demonstration of double patterning using electron beam lithography in the fabrication of 15 nm half-pitch zone plates. [ [http://spie.org/x8367.xml SPIE Newsroom: Double exposure makes dense high-resolution diffractive optics] ] Although a 15 nm feature was resolved, a 30 nm pitch was still difficult to do, due to secondary electrons scattering from the adjacent feature. The use of double patterning allowed the spacing between features to be wide enough for the secondary electron scattering to be significantly reduced. The forward scattering can be decreased by using higher energy electrons or thinner photoresist, but the generation of secondary electrons is inevitable. The travel distance of secondary electrons is not a fundamentally derived physical value, but a statistical parameter often determined from many experiments or Monte Carlo simulations down to < 1 eV. This is necessary since the energy distribution of secondary electrons peaks well below 10 eVcite journal | title=unknown title | author=H. Seiler | journal=J. Appl. Phys. | volume=54 | year=1983 | pages=R1–R18 | doi=10.1063/1.332840] . Hence, the resolution limit is not usually cited as a well-fixed number as with an optical diffraction-limited system. Repeatability and control at the practical resolution limit often require considerations not related to image formation, e.g., photoresist development and intermolecular forces.

cattering

In addition to producing secondary electrons, primary electrons from the incident beam with sufficient energy to penetrate the photoresist can be multiply scattered over large distances from underlying films and/or the substrate. This leads to exposure of areas at a significant distance from the desired exposure location. For thicker electrons, as the primary electrons move forward, they have an increasing opportunity to scatter laterally from the beam-defined location. This scattering is called forward scattering. Sometimes the primary electrons are scattered at angles exceeding 90 degrees, i.e., they no longer advance further into the resist. These electrons are called backscattered electrons and have the same effect as long-range flare in optical projection systems. A large enough dose of backscattered electrons can lead to complete exposure of resist over an area much larger than defined by the beam spot.

Proximity effect

The smallest features produced by electron beam lithography have generally been isolated features, as nested features exacerbate the proximity effect, whereby electrons from exposure of an adjacent region spill over into the exposure of the currently written feature, effectively enlarging its image, and reducing its contrast, i.e., difference between maximum and minimum intensity. Hence, nested feature resolution is harder to control. For most resists, it is difficult to go below 25 nm lines and spaces, and a limit of 20 nm lines and spaces has been foundcite journal | title=unknown title | author=J. A. Liddle "et al." | journal=Mat. Res. Soc. Symp. Proc. | volume=739 | issue=19 | year=2003 | pages=19–30 | url=http://www-library.lbl.gov/docs/LBNL/529/07/PDF/LBNL-52907_Abs.pdf ] .

Proximity effects (due to electron scattering) can be reduced by solving the inverse problem and calculating the exposure function E(x,y) that leads to a dose distribution as close as possible to the desired dose D(x,y) when convolved by the scattering distribution point spread function PSF(x,y).

Charging

Since electrons are charged particles, they tend to charge the substrate negatively unless they can quickly gain access to a path to ground. For a high-energy beam incident on a silicon wafer, virtually all the electrons stop in the wafer where they can follow a path to ground. However, for a quartz substrate such as a photomask, the embedded electrons will take a much longer time to move to ground. Often the negative charge acquired by a substrate can be compensated or even exceeded by a positive charge on the surface due to secondary electron emission into the vacuum. The presence of a thin conducting layer above or below the resist is generally of limited use for high energy (50 keV or more) electron beams, since most electrons pass through the layer into the substrate. The charge dissipation layer is generally useful only around or below 10 keV, since the resist is thinner and most of the electrons either stop in the resist or close to the conducting layer.

The range of low-energy secondary electrons (the largest component of the free electron population in the resist-substrate system) which can contribute to charging is not a fixed number but can vary from 0 to as high as 50 nm. [ See Wikipedia article on extreme ultraviolet lithography as well as section in this article on New Frontiers in Electron Beam Lithography.] Hence, resist-substrate charging is not repeatable and is difficult to compensate consistently. Positive charging is more tolerable than negative charging, because the latter can deflect the electron beam away from the desired exposure location.

Electron Beam Resist Performance

A study performed at the Naval Research Laboratory [ V. M. Bermudez, J. Vac. Sci. Tech. B 17, 2512 (1999).] indicated that low-energy (10-50 eV) electrons were able to damage ~30 nm thick PMMA films. The damage was manifest as a loss of material.

* For the popular electron-beam resist ZEP-520, a pitch resolution limit of 60 nm (30 nm lines and spaces), independent of thickness and beam energy, was found. [ H. Yang "et al.", Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391-394 (2006).]
* A 20 nm resolution had also been demonstrated using a 3 nm 100 keV electron beam and PMMA resist. [ D. R. S. Cumming "et al.", Appl. Phys. Lett. 68, 322 (1996).] 20 nm unexposed gaps between exposed lines showed inadvertent exposure by secondary electrons.

New frontiers in electron-beam lithography

To get around the secondary electron generation, it will be imperative to use low-energy electrons as the primary radiation to expose photoresist. Ideally, these electrons should have energies on the order of not much more than several eV in order to expose the photoresist without generating any secondary electrons, since they will not have sufficient excess energy. Such exposure has been demonstrated using a scanning tunneling microscope as the electron beam sourcecite journal | title=unknown title | author=C. R. K. Marrian "et al." | journal=J. Vac. Sci. Tech. | issue=B | volume=10 | year=1992 | pages=2877–2881 | doi=10.1116/1.585978] . The data suggest that electrons with energies as low as 12 eV can penetrate 50 nm thick polymer photoresist. The drawback to using low energy electrons is that it is hard to prevent spreading of the electron beam in the photoresistcite journal | title=unknown title | author=T. M. Mayer "et al." | journal=J. Vac. Sci. Tech. | issue=B | volume=14 | year=1996 | pages=2438–2444 | doi=10.1116/1.588751] . Low energy electron optical systems are also hard to design for high resolutioncite journal | title=unknown title | author=L. S. Hordon "et al." | journal=J. Vac. Sci. Tech. | issue=B | volume=11 | year=1993 | pages=2299–2303 | doi=10.1116/1.586894] . Coulomb inter-electron repulsion always becomes more severe for lower electron energy.

Another alternative in electron-beam lithography is to use extremely high electron energies (at least 100 keV) to essentially "drill" or sputter the material. This phenomenon has been observed frequently in transmission electron microscopycite journal | title=unknown title | author=R. F. Egerton "et al." | journal=Micron | volume=35 | year=2004 | pages=399–409 | doi=10.1016/j.micron.2004.02.003] . However, this is a very inefficient process, due to the inefficient transfer of momentum from the electron beam to the material. As a result it is a slow process, requiring much longer exposure times than conventional electron beam lithography. Also high energy beams always bring up the concern of substrate damage.

Interference lithography using electron beams is another possible path for patterning arrays with nanometer-scale periods. A key advantage of using electrons over photons in interferometry is the much shorter wavelength for the same energy.

Despite the various intricacies and subtleties of electron beam lithography at different energies, it remains the most practical way to concentrate the most energy into the smallest area.

There has been various interest in the development of multiple electron beam approaches to lithography in order to speed up processing supported by SEMATECH and start-up companies such as Multibeam Systems [ [http://www.multibeamsystems.com/about_us.htm Multibeam Systems - About Us ] ] .

See also

Photolithography

Maskless lithography

Ion beam lithography

External links

* [http://www.nanofab.ece.cmu.edu Carnegie Mellon Nanofabrication Facility]
* [http://www.first.ethz.ch/infrastructure/equipment/ebl Electron-beam lithography at ETH Zurich]
* [http://nanolithography.gatech.edu Nanolithography at Georgia Tech]
* [http://www.cnf.cornell.edu/doc/cnfebeam.pdf Electron-beam lithography at Cornell (0.5 MB PDF)]
* [http://www.jcnabity.com NPGS for SEM or FIB Lithography]
* [http://www.mri.psu.edu/facilities/nnin/facilities.html Penn State NNIN Facilites]
* [http://www.nanolab.ucla.edu/ UCLA Nanoelectronics Research Facility]
* [http://www.gel.usherbrooke.ca/casino/index.html CASINO - program to simulate trajectories of electrons in solids]

Equipment vendors

* [http://www.raith.com Raith Hardware Electron-beam Lithography Packages]
* [http://www.nanonic.de nanonic EBL and FIB Lithography systems]
* [http://www.xenos-semi.com XENOS EBL Hard- and Software]
* [http://www.nanomaker.com NanoMaker EBL Hardware and Software]
* [http://www.elionix.co.jp/english/ ELIONIX Dedicated EBL Systems (100kV, 80kV, 50kV)]
* [http://www.vistec-litho.com VISTEC High Performance Gaussian and Variable Shaped Beam Lithography Systems]

References


Wikimedia Foundation. 2010.

Игры ⚽ Нужно решить контрольную?

Look at other dictionaries:

  • electron beam lithography —  Electron Beam Lithography  (EBL)  Электронно лучевая литография   Метод изготовления субмикронных и наноразмерных деталей путем облучения электрочувствительных поверхностей электронным лучом. Существуют две основные возможности использования… …   Толковый англо-русский словарь по нанотехнологии. - М.

  • electron-beam lithography — elektronpluoštė litografija statusas T sritis radioelektronika atitikmenys: angl. electron beam lithography; electron beam printing vok. Elektronenstrahllithografie, f; Elektronenstrahlschreiben, n rus. электронная литография, f; электронно… …   Radioelektronikos terminų žodynas

  • electron-beam lithography projector — elektronpluoštės litografijos projektorius statusas T sritis radioelektronika atitikmenys: angl. e beam lithography projector; electron beam lithography projector vok. Elektronenbildprojektor, m; Elektronenstrahlbildprojektor, m rus. установка… …   Radioelektronikos terminų žodynas

  • Proximity effect (electron beam lithography) — The proximity effect in electron beam lithography (EBL) is the phenomenon that the exposure dose distribution, and hence the developed pattern, is wider than the scanned pattern, due to the interactions of the primary beam electrons with the… …   Wikipedia

  • scanning electron-beam lithography — skleistinė elektronpluoštė litografija statusas T sritis radioelektronika atitikmenys: angl. scanning electron beam lithography; write electron beam lithography vok. Elektronenstrahlschreibenlithografie, f; Rasterelektronenstrahlithografie, f rus …   Radioelektronikos terminų žodynas

  • write electron-beam lithography — skleistinė elektronpluoštė litografija statusas T sritis radioelektronika atitikmenys: angl. scanning electron beam lithography; write electron beam lithography vok. Elektronenstrahlschreibenlithografie, f; Rasterelektronenstrahlithografie, f rus …   Radioelektronikos terminų žodynas

  • direct-write electron-beam lithography — tiesioginė elektronpluoštė litografija statusas T sritis radioelektronika atitikmenys: angl. direct write electron beam lithography vok. Elektronenstrahllithografie für direkte Waferbelichtung, f rus. непосредственная электронно лучевая… …   Radioelektronikos terminų žodynas

  • raster-scan electron-beam lithography equipment — elektronpluoštis rastrinis litografijos įrenginys statusas T sritis radioelektronika atitikmenys: angl. raster scan electron beam lithography equipment vok. Rasterscan Anlage, f rus. установка растровой электронно лучевой литографии, f pranc.… …   Radioelektronikos terminų žodynas

  • raster-scan electron-beam lithography — elektronpluoštė rastrinė litografija statusas T sritis radioelektronika atitikmenys: angl. raster scan electron beam lithography vok. Rasterscan Elektronenstrahllithografie, f rus. электронно лучевая литография с растровым сканированием, f pranc …   Radioelektronikos terminų žodynas

  • vector-scan electron-beam lithography — vektorinė elektronpluoštė litografija statusas T sritis radioelektronika atitikmenys: angl. vector scan electron beam lithography vok. Vektorscan Elektronenstrahllithografie, f rus. электронно лучевая литография с векторным сканированием, f pranc …   Radioelektronikos terminų žodynas

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”