Hardware verification language

Hardware verification language

A Hardware Verification Language, or HVL, is a programming language used to verify the designs of electronic circuits written in a hardware description language. HVLs typically include features of a high-level programming language like C++ or Java as well as features for easy bit-level manipulation similar to those found in HDLs.

OpenVera, Specman e, and SystemC are the most commonly used HVLs, while SystemVerilog attempts to combine HDL and HVL constructs into a single standard.

See also

*OpenVera
*Specman
*SystemC
*SystemVerilog


Wikimedia Foundation. 2010.

Игры ⚽ Поможем решить контрольную работу

Look at other dictionaries:

  • Hardware description language — In electronics, a hardware description language or HDL is any language from a class of computer languages and/or programming languages for formal description of electronic circuits. It can describe the circuit s operation, its design and… …   Wikipedia

  • Asic verification — is today’s most challenging problem for ASIC designers. As chip sizes have skyrocketed and use of IP has increased, the need to fully verify the design functionality has become critical. However, verification is a function of both design size and …   Wikipedia

  • Hardware random number generator — This SSL Accelerator computer card uses a hardware random number generator to generate cryptographic keys to encrypt data sent over computer networks. In computing, a hardware random number generator is an apparatus that generates random numbers… …   Wikipedia

  • Language internaute — Jargon informatique Le jargon informatique est un pseudo langage propre aux représentants des professions gravitant autour de l informatique. Discutant essentiellement par messages courts, ces personnes utilisent en excès des abréviations,… …   Wikipédia en Français

  • Device driver synthesis and verification — The device driver is a program which allows the software or higher level computer programs to interact with a hardware device. These software components act as a link between the devices and the operating systems, communicating with each of these …   Wikipedia

  • Ada (programming language) — For other uses of Ada or ADA, see Ada (disambiguation). Ada Paradigm(s) Multi paradigm Appeared in 1980 Designed by MIL STD 1815/Ada 83: Jean Ichbiah Ada 95: Tucker Taft Ada 2005: Tucker Taft Stable release …   Wikipedia

  • Programming language — lists Alphabetical Categorical Chronological Generational A programming language is an artificial language designed to communicate instructions to a machine, particularly a computer. Programming languages can be used to create programs that… …   Wikipedia

  • Systems Modeling Language — Sysml diagrams collage The Systems Modeling Language (SysML) is a general purpose modeling language for systems engineering applications. It supports the specification, analysis, design, verification and validation of a broad range of systems and …   Wikipedia

  • Functional verification — Functional verification, in electronic design automation, is the task of verifying that the logic design conforms to specification. In everyday terms, functional verification attempts to answer the question Does this proposed design do what is… …   Wikipedia

  • Open Verification Library — (OVL) is a library of property checkers for digital circuit descriptions written in popular Hardware Description Languages (HDLs). OVL is currently maintained by Accellera. Applications OVL works by placing modules or components checking specific …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”