POWER4

POWER4

The POWER4 chip is a CPU that implements the 64-bit PowerPC architecture. Released in 2001, the POWER4 chip is based on the previous POWER3 chip design. The POWER4 is a multicore microprocessor, with two cores on a single die, the first microprocessor to do so.

Functional layout

The functional unit of the POWER4 consists of two 64-bit implementations of the PowerPC AS Architecture. The POWER4 has an L2 unified cache, divided into three equal parts. Each has its own independent L2 controller which can feed 32 bytes of data per cycle. The Core Interface Unit (CIU) connects each L2 controller to either the data cache or instruction cache in either of the two processors. The Non-Cacheable (NC) Unit is responsible for handling instruction serializing functions and performing any noncacheable operations in the storage topology. There is an L3 cache controller, but the actual memory is off-chip. The GX bus controller controls I/O device communications, and there are two 4-byte wide GX buses, one incoming and the other outgoing. The Fabric Controller is the master controller for the network of buses, controlling communications for both L1/L2 controllers, communications between POWER4 chips {4-way, 8-way, 16-way, 32-way} and POWER4 MCM’s. Trace-and-Debug, used for First Failure Data Capture, is provided. There is also a Built In Self Test function (BIST) and Performance Monitoring Unit (PMU). Power-On Reset (POR) is supported.

Execution unit

The POWER4 implements a superscalar microarchitecture through high-frequency speculative out-of-order execution using 8 independent execution units. They are: 2 floating-point units (FP1-2), 2 load-store units (LD1-2), 2 fixed-point units (FX1-2), 1 branch unit (BR), and 1 conditional-register unit (CR). These execution units can complete up to eight operations per clock (not including the BR and CR units):

*each floating point unit can complete one fused multiply-add per clock (two operations),
*each load-store unit can complete one instruction per clock,
*each fixed-point unit can complete one instruction per clock. The pipeline stages are:

*Branch Prediction
*Instruction Fetch
*Decode, Crack and Group Formation
*Group Dispatch and Instruction Issue
*Load/Store Unit Operation
**Load Hit Store
**Store Hit Load
**Load Hit Load
*Instruction Execution Pipeline

Multi-chip configuration

The POWER4 also came in a configuration using a Multi-Chip Module (MCM) containing four POWER4 dies in a single package.

Parametrics

See also

*IBM POWER

References

*cite web|url=http://www-03.ibm.com/servers/eserver/pseries/hardware/whitepapers/power4.html|title=POWER4 System Microarchitecture|accessdate=2006-07-21|publisher=IBM
*cite journal|author=J. M. Tendler, J. S. Dodson, J. S. Fields, Jr., H. Le, and B. Sinharoy|year=2002|title=POWER4 system microarchitecture|journal=IBM Journal of Research and Development|volume=46|issue=1|pages=5–26|doi=10.1147/rd.461.0005|id=ISSN|0018-8646|url=http://www.research.ibm.com/journal/rd/461/tendler.html|accessdate=2006-07-21
*cite journal|author=J. D. Warnock, J. M. Keaty, J. Petrovick, J. G. Clabes, C. J. Kircher, B. L. Krauter, P. J. Restle, B. A. Zoric, and C. J. Anderson|year=2002|title=The circuit and physical design of the POWER4 microprocessor|journal=IBM Journal of Research and Development|volume=46|issue=1|pages=27–52|doi=10.1147/rd.461.0027|id=ISSN|0018-8646|url=http://www.research.ibm.com/journal/rd/461/warnock.html|accessdate=2006-07-21


Wikimedia Foundation. 2010.

Игры ⚽ Поможем сделать НИР

Look at other dictionaries:

  • POWER4 — микропроцессор компании IBM, выполняющий команды архитектуры PowerPC и являющийся продолжением серии POWER. Выпущен в 2001 г., содержит два ядра PowerPC. Оба ядра 64 битные, основываются на архитектуре PowerPC AS, и используют общий кэш II уровня …   Википедия

  • POWER4 — Le POWER4 implémente l architecture 64 bit PowerPC. Sorti en 2001, il est basé sur le design de son prédécesseur, le POWER3. Le POWER4 est un microprocesseur multi cœur, avec deux cœurs sur la même puce, le premier de ce genre. Sommaire 1… …   Wikipédia en Français

  • POWER 4 — POWER4 Architecture Power Obsolètes POWER1 • POWER2 • POWER3 • POWER4 • PowerPC AS • PPC600 • PPC601 • PPC602 • PPC603 • …   Wikipédia en Français

  • IBM POWER — Cet article a pour sujet la famille de processeur IBM. Pour une définition du mot « power », voir l’article power du Wiktionnaire. POWER est une famille de processeurs de type RISC construits par IBM. Le nom est l acronyme de… …   Wikipédia en Français

  • POWER — IBM POWER Cet article a pour sujet la famille de processeur IBM. Pour une définition du mot « power », voir l’article power du Wiktionnaire. Architecture Power Obsolètes POWER1 • …   Wikipédia en Français

  • POWER 5 — IBM POWER Cet article a pour sujet la famille de processeur IBM. Pour une définition du mot « power », voir l’article power du Wiktionnaire. Architecture Power Obsolètes POWER1 • …   Wikipédia en Français

  • POWER 6 — IBM POWER Cet article a pour sujet la famille de processeur IBM. Pour une définition du mot « power », voir l’article power du Wiktionnaire. Architecture Power Obsolètes POWER1 • …   Wikipédia en Français

  • POWER 7 — IBM POWER Cet article a pour sujet la famille de processeur IBM. Pour une définition du mot « power », voir l’article power du Wiktionnaire. Architecture Power Obsolètes POWER1 • …   Wikipédia en Français

  • IBM POWER — POWER is a RISC instruction set architecture designed by IBM. The name is a backronym for Performance Optimization With Enhanced RISC . POWER is also the name of a series of microprocessors that implement the instruction set architecture (ISA).… …   Wikipedia

  • IBM Power — Dieser Artikel wurde aufgrund von inhaltlichen Mängeln auf der Qualitätssicherungsseite der Redaktion Informatik eingetragen. Dies geschieht, um die Qualität der Artikel aus dem Themengebiet Informatik auf ein akzeptables Niveau zu bringen. Hilf… …   Deutsch Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”